Author of the publication

Recovery-driven design: a power minimization methodology for error-tolerant processor modules.

, , , and . DAC, page 825-830. ACM, (2010)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Exploiting Timing Error Resilience in Processor Architecture., and . ACM Trans. Embed. Comput. Syst., 12 (2s): 89:1-89:25 (2013)Stochastic computing: embracing errors in architectureand design of processors and applications., , and . CASES, page 135-144. ACM, (2011)Scalable stochastic processors., , , and . DATE, page 335-338. IEEE Computer Society, (2010)Scalable N-worst algorithms for dynamic timing and activity analysis., and . ICCAD, page 585-592. IEEE, (2017)Optimal power/performance pipelining for error resilient processors., , , and . ICCD, page 356-363. IEEE Computer Society, (2010)Graph-based Dynamic Analysis: Efficient Characterization of Dynamic Timing and Activity Distributions., and . ICCAD, page 729-735. IEEE, (2015)Solar Textiles for Off-grid Populations in Sub-Saharan Africa., , , and . ISWC, page 115-119. ACM, (2022)Determining Application-specific Peak Power and Energy Requirements for Ultra-low Power Processors., , , , and . ASPLOS, page 3-16. ACM, (2017)Enhancing the Efficiency of Energy-Constrained DVFS Designs., , , and . IEEE Trans. Very Large Scale Integr. Syst., 21 (10): 1769-1782 (2013)Bespoke Processors for Applications with Ultra-Low Area and Power Constraints., , , , and . IEEE Micro, 38 (3): 32-39 (2018)